Classiq开发了一种设计量子算法的新方法

企业动态 量科网 2021-07-10 13:29

量子计算机不仅仅代表硬件问题,它也代表软件问题。许多开发量子软件的初创公司正在进入市场并引起投资者的注意。Classiq就是其中一家位于以色列特拉维夫的初创公司,它致力于提供建立量子算法的模型。

Classiq开发了一种设计量子算法的新方法

Classiq首席营销官Yuval Boger表示,迄今为止的量子计算机算法是使用需要较长开发时间的低级工具构建的,Classiq的平台目标是在更高抽象层级上对这些算法进行建模。Boger:“它基本上为Cadence等公司的传统系统提供了量子等效的芯片设计工具。这种能力将改进设计和实施技术。”

他补充说:“没有好的软件,硬件就一无是处。如果我给你一个高端处理器,但没有操作系统,那么它就没有任何用处。如今的电路是基于门级开发的,如果你没有量子物理学博士学位,那么你从事量子计算相关工作的机会很小。拥有合适的平台来轻松开发量子电路非常重要。我们的平台从基础层面合成和分析量子电路,无论它们包含多少量子比特。”

量子计算

我们已经知道,量子计算机是使用量子比特作为计算单位,它不同于传统计算机使用0和1比特。一个量子比特可以为0、1或同时是0和1的组合,理论上这会增加计算能力。许多市场专家和研究人员期望通过量子计算机训练更复杂的模型以推进人工智能。

量子计算有望对众多领域产生巨大的影响,从网络安全到金融,从供应链到制药,从国防到天气预报等等。

量子比特面临的挑战是使它们越来越稳定以优化性能。IBM、亚马逊和微软等几家公司在此领域的投资越来越多,未来几年将出现超过1000个量子比特的平台。这些公司在几个方面展开竞争:量子比特的数量、可用端口的类型、量子比特间的互连性、错误率及工作温度等。

量子算法设计

在当今的计算机世界中,如果没有操作系统和支持的软件工具,现代CPU就毫无用处。在量子计算机中也是如此。与硬件一样重要的是,软件对于推动量子革命也至关重要。编写复杂的量子软件还有另一个不幸的副作用,我们很难找到量子编程方面的专家,因为这与经典编程不同。量子编程专家需要了解软件工程和量子物理学。  

Classiq通过弥合复杂量子逻辑的差距来应对量子计算发展中的挑战。该公司构建了一个新的量子软件堆栈层,它提高了抽象级别,并允许开发人员实现他们的想法和概念,而无需在门级设计特定的量子电路。

Classiq开发了一种设计量子算法的新方法
Classiq平台界面

“许多量子算法需要进入准备状态以加载概率函数。”Boger说:“如果你写了一些C语言的代码,你就会按照我们所知道的那样组织算法。但是你如何在量子中做到这一点?你必须创建某种电路来做到这一点。我们的软件可以帮助你找出在量子方面哪个会更好。我们允许你在高层级上进行设计并指定约束条件。我们还通过估计在各种硬件上运行的计算资源来合成最佳电路。”

数字电路设计的演变启发了Classiq。随着数字电路变得越来越复杂,VHDL(一种用于电路设计的高级语言)等设计语言开始为设计人员提供帮助。工程师可以使用VHDL写下他们想用数字电路做什么,然后编译器通过仔细调试将这种高级描述转换为详细的门互连。

Classiq基于Python开发了一个类似变体,你可以通过它编写关于电路想要实现的量子约束。然后,电路会被合成以供量子架构处理。Boger认为,随着技术的进步,开发人员将只需了解更少地量子比特真实的行为方式。Classiq的使命是在硬件之上提供一个额外的抽象层。与此同时,开发人员可以针对特定的量子计算硬件优化他们的算法。

Boger说:“它有点像量子的VHDL。我们采用系统级方法并使其适应你想要控制的量子比特数量和纠缠水平。”

设计量子算法背后的理念是将代码转换为量子比特,以便量子技术能够以更快的速度发展,并减少不确定性。Boger总结道:“你可以创建一个模型来协调你的算法,而不需要在门级进行编码。然后在门级将此模型合成为代码,同时也考虑了硬件级的各种操作约束。这将使从一种架构移植到另一种架构变得容易。”

2021年1月,Classiq在由Team8 Capital和Wing Capital领投的A轮融资中筹集了1050万美元。Entrée Capital、OurCrowd众筹平台和住友商事(通过IN Venture)也参与了本轮融资。Classiq在2020年完成了由Entrée Capital领投的400万美元种子轮融资。(编译:Qtech)